Search found 3 matches

by Sub_z
Wed Dec 05, 2007 5:23 pm
Forum: Flowcode V2 & V3
Topic: Up/Down Counter Display using 4 7-seg displays
Replies: 5
Views: 5547

Seriously i cant thank you enough steve! i have got the counter display working perfectly counting up. after your last reply i realised where i was going wrong. i had the program set up like the first code you have described, but i had it as follows D1 = TotalCount. if D1 > 9 D1 = 0 D2 = D2 + 1 if D...
by Sub_z
Tue Dec 04, 2007 10:13 pm
Forum: Flowcode V2 & V3
Topic: Up/Down Counter Display using 4 7-seg displays
Replies: 5
Views: 5547

thanks for the reply steve! i have carefully gone through the "quad 7 segment" section of Flowcourse to see if i missed something or doing something wrong. After the following part of the extrac i cant get my head around how to incorperate that in my counter program. One more trick to teach you, bef...
by Sub_z
Mon Dec 03, 2007 10:08 pm
Forum: Flowcode V2 & V3
Topic: Up/Down Counter Display using 4 7-seg displays
Replies: 5
Views: 5547

Up/Down Counter Display using 4 7-seg displays

hello! I have been using Flowcode at college and for a project trying to make an up down Counter which displays the count on a 4 7-seg display. i have got the counter working by using switches to make te counter count up every time the input switch count up is pressed which adds 1 to the total count...